Tuesday 4 March 2014

Verilog code for halfadder


module half(
    input a,
    input b,
    output sum,
    output Cout
    );
reg sum,Cout;
always @(a or b) begin
sum=a^b;
Cout=a&b;
end;
endmodule

schematic:



No comments:

Post a Comment